Vortragsprogramm/2011/Aufbau und Nutzung von FPGAs/blink

Aus LaborWiki
Wechseln zu: Navigation, Suche

Dieser Code lässt eine Led Blinken

`timescale 1ns / 1ps
module blink(clk, blink);

    input clk;
    output reg blink;

reg [23:0] counter = 0;

always @ (posedge clk) begin
counter <= counter + 1;
end

always @ *
blink = counter[23];

endmodule


UCF für Spartan3 Starterboard von Digilant

net "clk"   loc = "t9" | period = 50 MHz Low 50 %;
net "blink" loc = "k12";